智能化芯片设计程序测试研究综述
作者:
通讯作者:

陈俊洁,E-mail:junjiechen@tju.edu.cn

中图分类号:

TP311

基金项目:

国家自然科学基金(62322208); 华为高校合作项目


Survey on Testing of Intelligent Chip Design Program
Author:
  • 摘要
  • | |
  • 访问统计
  • |
  • 参考文献 [114]
  • |
  • 相似文献 [20]
  • | | |
  • 文章评论
    摘要:

    在当今智能化的时代背景下, 芯片作为智能电子设备的核心组件, 在人工智能、物联网、5G通信等诸多领域发挥着关键作用, 保障芯片的正确性、安全性和可靠性至关重要. 在芯片的开发流程中, 开发人员首先需要利用硬件描述语言, 将芯片设计实现成软件形式(即芯片设计程序), 然后再进行物理设计并最终流片(即生产制造). 作为芯片设计制造的基础, 芯片设计程序的质量直接影响了芯片的质量. 因此, 针对芯片设计程序的测试具有重要研究意义. 早期的芯片设计程序测试方法主要依赖开发人员人工设计测试用例来测试芯片设计程序, 往往需要大量的人工成本和时间代价. 随着芯片设计程序复杂度的日益增长, 诸多基于仿真的自动化芯片设计程序测试方法被提出, 提升了芯片设计程序测试效率及有效性. 近年来, 越来越多的研究者致力于将机器学习、深度学习和大语言模型(LLM)等智能化方法应用于芯片设计程序测试领域. 调研88篇智能化芯片设计程序测试相关的学术论文, 从测试输入生成、测试预言构造及测试执行优化这3个角度对智能化芯片设计程序测试已有成果进行整理归纳, 重点梳理芯片设计程序测试方法从机器学习阶段、深度学习阶段到大语言模型阶段的演化, 探讨不同阶段方法在提高测试效率和覆盖率、降低测试成本等方面的潜力. 同时, 介绍芯片设计程序测试领域的研究数据集和工具, 并展望未来的发展方向和挑战.

    Abstract:

    In the current intelligent era, chips, serving as the core components of intelligent electronic devices, play a critical role in multiple fields such as artificial intelligence, the Internet of Things, and 5G communication. It is of great significance to ensure the correctness, security, and reliability of chips. During the chip development process, developers first need to implement the chip design into a software form (i.e., chip design programs) by using hardware description languages, and then conduct physical design and finally tape-out (i.e., production and manufacturing). As the basis of chip design and manufacturing, the quality of the chip design program directly impacts the quality of the chips. Therefore, the testing of chip design programs is of important research significance. The early testing methods for chip design programs mainly depend on the test cases manually designed by developers to test the chip design programs, often requiring a large amount of manual cost and time. With the increasing complexity of chip design programs, various simulation-based automated testing methods have been proposed, improving the efficiency and effectiveness of chip design program testing. In recent years, more and more researchers have been committed to applying intelligent methods such as machine learning, deep learning, and large language models (LLMs) to the field of chip design program testing. This study surveys 88 academic papers related to intelligent chip design program testing, and sorts and summarizes the existing achievements in intelligent chip design program testing from three perspectives: test input generation, test oracle construction, and test execution optimization. It focuses on the evolution of chip design program testing methods from the machine learning stage to the deep learning stage and then to the large language model stage, exploring the potential of different stages’ methods in improving testing efficiency and coverage, as well as reducing testing costs. Additionally, it introduces research datasets and tools in the field of chip design program testing and envisions future development directions and challenges.

    参考文献
    [1] Wu N, Li YJ, Yang H, Chen HQ, Dai S, Hao C, Yu CX, Xie Y. Survey of machine learning for software-assisted hardware design verification: Past, present, and prospect. ACM Trans. on Design Automation of Electronic Systems, 2024, 29(4): 59.
    [2] Jayasena A, Mishra P. Directed test generation for hardware validation: A survey. ACM Computing Surveys, 2024, 56(5): 132.
    [3] Ismail KA, El Ghany MAA. Survey on machine learning algorithms enhancing the functional verification process. Electronics, 2021, 10(21): 2688.
    [4] Ioannides C, Eder KI. Coverage-directed test generation automated by machine learning—A review. ACM Trans. on Design Automation of Electronic Systems (TODAES), 2012, 17(1): 7. [doi: 10.1145/2071356.2071363]
    [5] Bergeron J. Writing Testbenches: Functional Verification of HDL Models. 2nd ed., New York: Springer, 2003.
    [6] Piziali A. Functional Verification Coverage Measurement and Analysis. New York: Springer, 2008.
    [7] Bachrach J, Vo H, Richards B, Lee Y, Waterman A, Avižienis R, Wawrzynek J, Asanović K. Chisel: Constructing hardware in a Scala embedded language. In: Proc. of the 49th Annual Design Automation Conf. San Francisco: ACM, 2012. 1216–1225. [doi: 10.1145/2228360.2228584]
    [8] Grimm T, Lettnin D, Hübner M. A survey on formal verification techniques for safety-critical systems-on-chip. Electronics, 2018, 7(6): 81.
    [9] Kropf T, Wunderlich H. A common approach to test generation and hardware verification based on temporal logic. In: Proc. of the 1991 Int’l Test Conf. Nashville: IEEE, 1991. 57. [doi: 10.1109/TEST.1991.519494]
    [10] Bhadra J, Krishnamurthy N, Abadir MS. Enhanced equivalence checking: Toward a solidarity of functional verification and manufacturing test generation. IEEE Design and Test of Computers, 2004, 21(6): 494–502.
    [11] 姚广宇, 张南, 田聪, 段振华, 刘灵敏, 孙风津. 芯片开发功能验证的形式化方法. 软件学报, 2021, 32(6): 1799–1817. http://www.jos.org.cn/1000-9825/6250.htm
    Yao GY, Zhang N, Tian C, Duan ZH, Liu LM, Sun FJ. Formal method of functional verification for chip development. Ruan Jian Xue Bao/Journal of Software, 2021, 32(6): 1799–1817 (in Chinese with English abstract). http://www.jos.org.cn/1000-9825/6250.htm
    [12] Cruz J, Farahmandi F, Ahmed A, Mishra P. Hardware Trojan detection using ATPG and model checking. In: Proc. of the 31st Int’l Conf. on VLSI Design and the 17th Int’l Conf. on Embedded Systems. Pune: IEEE, 2018. 91–96. [doi: 10.1109/VLSID.2018.43]
    [13] Tang SB, Zhu JC, Gao YF, Zhou J, Mu DJ, Hu W. Verifying RISC-V privilege transition integrity through symbolic execution. In: Proc. of the 32nd IEEE Asian Test Symp. Beijing: IEEE, 2023. 1–6. [doi: 10.1109/ATS59501.2023.10317946]
    [14] Bruns N, Herdt V, Drechsler R. Processor verification using symbolic execution: A RISC-V case-study. In: Proc. of the 2023 Design, Automation & Test in Europe Conf. & Exhibition. Antwerp: IEEE, 2023. 1–6. [doi: 10.23919/DATE56975.2023.10137202]
    [15] Synopsys, Inc. VCS functional verification solution. 2024. https://www.synopsys.com/verification/simulation/vcs.html
    [16] Chipsalliance/RISCV-DV. CHIPS Alliance. 2024. https://github.com/chipsalliance/riscv-dv
    [17] Tasiran S, Fallah F, Chinnery DG, Weber SJ, Keutzer K. A functional validation technique: Biased-random simulation guided by observability-based coverage. In: Proc. of the 2001 IEEE Int’l Conf. on Computer Design: VLSI in Computers and Processors. Austin: IEEE, 2001. 82–88. [doi: 10.1109/ICCD.2001.955007]
    [18] Guo Y, Qu WX, Li T, Li SK. Coverage driven test generation framework for RTL functional verification. In: Proc. of the 10th IEEE Int’l Conf. on Computer-aided Design and Computer Graphics. Beijing: IEEE, 2007. 321–326. [doi: 10.1109/CADCG.2007.4407902]
    [19] Benjamin M, Geist D, Hartman A, Wolfsthal Y, Mas G, Smeets R. A study in coverage-driven test generation. In: Proc. of the 36th Annual ACM/IEEE Design Automation Conf. New Orleans: IEEE, 1999. 970–975. [doi: 10.1109/DAC.1999.782237]
    [20] Laeufer K, Koenig J, Kim D, Bachrach J, Sen K. RFUZZ: Coverage-directed fuzz testing of RTL on FPGAs. In: Proc. of the 2018 IEEE/ACM Int’l Conf. on Computer-aided Design. San Diego: IEEE, 2018. 1–8. [doi: 10.1145/3240765.3240842]
    [21] Canakci S, Delshadtehrani L, Eris F, Taylor MB, Egele M, Joshi A. DirectFuzz: Automated test generation for RTL designs using directed graybox fuzzing. In: Proc. of the 58th ACM/IEEE Design Automation Conf. San Francisco: IEEE, 2021. 529–534. [doi: 10.1109/DAC18074.2021.9586289]
    [22] Trippel T, Shin KG, Kelly G, Rizzo D, Hicks M, Tech V. Fuzzing hardware like software. In: Proc. of the 31st USENIX Security Symp. Boston: USENIX Association, 2022. 3237–3254.
    [23] Hur J, Song S, Kwon D, Baek E, Kim J, Lee B. DifuzzRTL: Differential fuzz testing to find CPU bugs. In: Proc. of the 2021 IEEE Symp. on Security and Privacy. San Francisco: IEEE, 2021. 1286–1303. [doi: 10.1109/SP40001.2021.00103]
    [24] Xu JY, Liu YY, He SR, Lin HR, Zhou YJ, Wang C. MorFuzz: Fuzzing processor via runtime instruction morphing enhanced synchronizable co-simulation. In: Proc. of the 32nd USENIX Security Symp. Anaheim: USENIX Association, 2023. 1307–1324.
    [25] Canakci S, Rajapaksha C, Delshadtehrani L, Nataraja A, Taylor MB, Egele M, Joshi A. ProcessorFuzz: Processor fuzzing with control and status registers guidance. In: Proc. of the 2023 IEEE Int’l Symp. on Hardware Oriented Security and Trust. San Jose: IEEE, 2023. 1–12. [doi: 10.1109/HOST55118.2023.10133714]
    [26] Ur S, Yadin Y. Micro architecture coverage directed generation of test programs. In: Proc. of the 1999 Design Automation Conf. New Orleans: IEEE, 1999. 175–180. [doi: 10.1109/DAC.1999.781305]
    [27] Nativ G, Mittennaier S, Ur S, Ziv A. Cost evaluation of coverage directed test generation for the IBM mainframe. In: Proc. of the 2001 Int’l Test Conf. Baltimore: IEEE, 2001. 793–802. [doi: 10.1109/TEST.2001.966701]
    [28] Tasiran S, Keutzer K. Coverage metrics for functional validation of hardware designs. IEEE Design & Test of Computers, 2001, 18(4): 36–45.
    [29] Jou JY, Liu CNJ. Coverage analysis techniques for HDL design validation. 1999. https://www.researchgate.net/publication/266883269_Coverage_Analysis_Techniques_for_HDL_Design_Validation
    [30] Mehta AB. ASIC/SoC Functional Design Verification. Cham: Springer, 2018.
    [31] Witharana H, Lyu YD, Charles S, Mishra P. A survey on assertion-based hardware verification. ACM Computing Surveys (CSUR), 2022, 54(11s): 225.
    [32] Fine S, Ziv A. Coverage directed test generation for functional verification using Bayesian networks. In: Proc. of the 40th Annual Design Automation Conf. Anaheim: ACM, 2003. 286–291. [doi: 10.1145/775832.775907]
    [33] Fine S, Fournier L, Ziv A. Using Bayesian networks and virtual coverage to hit hard-to-reach events. Int’l Journal on Software Tools for Technology Transfer, 2009, 11(4): 291–305.
    [34] Baras D, Fournier L, Ziv A. Automatic boosting of cross-product coverage using Bayesian networks. In: Proc. of the 4th Int’l Haifa Verification Conf. on Hardware and Software: Verification and Testing. Berlin: Springer, 2009. 53–67. [doi: 10.1007/978-3-642-01702-5_10]
    [35] Squillero G. MicroGP—An evolutionary assembly program generator. Genetic Programming and Evolvable Machines, 2005, 6(3): 247–263.
    [36] Ioannides C, Barrett G, Eder K. Feedback-based coverage directed test generation: An industrial evaluation. In: Proc. of the 6th Int’l Conf. on Hardware and Software: Verification and Testing. Springer, 2010. 112–128.
    [37] Wang JW, Liu ZG, Wang SL, Liu Y, Li YF, Yang H. Coverage-directed stimulus generation using a genetic algorithm. In: Proc. of the 2013 Int’l SoC Design Conf. Busan: IEEE, 2013. 298–301. [doi: 10.1109/ISOCC.2013.6864032]
    [38] Imková M, Kotásek Z. Automation and optimization of coverage-driven verification. In: Proc. of the 2015 Euromicro Conf. on Digital System Design. Madeira: IEEE, 2015. 87–94. [doi: 10.1109/DSD.2015.34]
    [39] Martínez-Cruz A, Barrón-Fernández R, Molina-Lozano H, Ramírez-Salinas MA, Villa-Vargas LA, Cortés-Antonio P, Cheng KT. An automatic functional coverage for digital systems through a binary particle swarm optimization algorithm with a reinitialization mechanism. Journal of Electronic Testing, 2017, 33(4): 431–447.
    [40] Roy R, Benipal MS, Godil S. Dynamically optimized test generation using machine learning. 2021. https://dvcon-proceedings.org/document/dynamically-optimized-test-generation-using-machine-learning/
    [41] Huang QJ, Shojaei H, Zyda F, Nazi A, Vasudevan S, Chatterjee S, Ho R. Test parameter tuning with blackbox optimization: A simple yet effective way to improve coverage. 2022. https://dvcon-proceedings.org/wp-content/uploads/Test-Parameter-Tuning-with-Blackbox-Optimization-A-Simple-Yet-Effective-Way-to-Improve-Coverage-1.pdf
    [42] Ismail KA, Abd El Ghany MA. High performance machine learning models for functional verification of hardware designs. In: Proc. of the 3rd Novel Intelligent and Leading Emerging Sciences Conf. Giza: IEEE, 2021. 15–18. [doi: 10.1109/NILES53778.2021.9600502]
    [43] Elver M, Nagarajan V. McVerSi: A test generation framework for fast memory consistency verification in simulation. In: Proc. of the 2016 IEEE Int’l Symp. on High Performance Computer Architecture. Barcelona: IEEE, 2016. 618–630. [doi: 10.1109/HPCA.2016.7446099]
    [44] Pan ZX, Mishra P. Automated test generation for hardware Trojan detection using reinforcement learning. In: Proc. of the 26th Asia and South Pacific Design Automation Conf. Tokyo: ACM, 2021. 408–413. [doi: 10.1145/3394885.3431595]
    [45] Bhargav H, Vs V, Kumar B, Singh V. Enhancing testbench quality via genetic algorithm. In: Proc. of the 2021 IEEE Int’l Midwest Symp. on Circuits and Systems. Lansing: IEEE, 2021. 652–656. [doi: 10.1109/MWSCAS47672.2021.9531876]
    [46] Chen C, Gohil V, Kande R, Sadeghi AR, Rajendran J. PSOFuzz: Fuzzing processors with particle swarm optimization. In: Proc. of the 2023 IEEE/ACM Int’l Conf. on Computer Aided Design. San Francisco: IEEE, 2023. 1–9. [doi: 10.1109/ICCAD57390.2023.10323913]
    [47] Fajcik M, Smrz P, Zachariasova M. Automation of processor verification using recurrent neural networks. In: Proc. of the 18th Int’l Workshop on Microprocessor and SoC Test and Verification. Austin: IEEE, 2017. 15–20. [doi: 10.1109/MTV.2017.15]
    [48] Wang FC, Zhu HB, Popli P, Xiao Y, Bodgan P, Nazarian S. Accelerating coverage directed test generation for functional verification: A neural network-based framework. In: Proc. of the 2018 Great Lakes Symp. on VLSI. Chicago: Association for Computing Machinery, 2018. 207–212. [doi: 10.1145/3194554.3194561]
    [49] Cristescu MC, Bob C. Flexible framework for stimuli redundancy reduction in functional verification using artificial neural networks. In: Proc. of the 2021 Int’l Symp. on Signals, Circuits and Systems. Iasi: IEEE, 2021. 1–4. [doi: 10.1109/ISSCS52333.2021.9497443]
    [50] Cristescu MC, Ciupitu D. Stimuli redundancy reduction for nonlinear functional verification coverage models using artificial neural networks. In: Proc. of the 2021 Int’l Semiconductor Conf. Romania: IEEE, 2021. 217–220. [doi: 10.1109/CAS52836.2021.9604141]
    [51] Pfeifer N, Zimpel BV, Andrade GAG, dos Santos LCV. A reinforcement learning approach to directed test generation for shared memory verification. In: Proc. of the 2020 Design, Automation & Test in Europe Conf. Exhibition. Grenoble: IEEE, 2020. 538–543. [doi: 10.23919/DATE48585.2020.9116198]
    [52] Hughes W, Srinivasan S, Suvarna R, Kulkarni M. Optimizing design verification using machine learning: Doing better than random. arXiv:1909.13168, 2019.
    [53] Choi H, Huh I, Kim S, Ko J, Jeong C, Son H, Kwon K, Chai J, Park Y, Jeong J, Kim DS, Choi JY. Application of deep reinforcement learning to dynamic verification of DRAM designs. In: Proc. of the 58th ACM/IEEE Design Automation Conf. San Francisco: IEEE, 2021. 523–528. [doi: 10.1109/DAC18074.2021.9586282]
    [54] Halim YM, Ismail KA, Abd El Ghany MA, Ibrahim SA, Halim YM. Reinforcement-learning based method for accelerating functional coverage closure of traffic light controller dynamic digital design. In: Proc. of the 32nd Int’l Conf. on Computer Theory and Applications. Alexandria: IEEE, 2022. 44–50. [doi: 10.1109/ICCTA58027.2022.10206069]
    [55] Ohana E. Closing functional coverage with deep reinforcement learning: A compression encoder example. 2023. https://dvcon-proceedings.org/wp-content/uploads/1046-Closing-Functional-Coverage-With-Deep-Reinforcement-Learning-A-Compression-Encoder-Example-1.pdf
    [56] Tweehuysen SL, Adriaans GLA, Gomony M. Stimuli generation for IC design verification using reinforcement learning with an Actor-Critic model. In: Proc. of the 2023 IEEE European Test Symp. Venezia: IEEE, 2023. 1–4. [doi: 10.1109/ETS56758.2023.10174129]
    [57] Zhang ZX, Chadwick G, McNally H, Zhao YR, Mullins R. LLM4DV: Using large language models for hardware test stimuli generation. In: Proc. of the 37th Conf. and Workshop on Neural Information Processing Systems. 2023.
    [58] Xiao C, Deng YF, Yang ZJ, Chen RZ, Wang H, Zhao JY, Dai HD, Wang L, Tang YH, Xu WX. LLM-based processor verification: A case study for neuromorphic processor. In: Proc. of the 2024 Design, Automation & Test in Europe Conf. & Exhibition. Valencia: IEEE, 2024. 1–6. [doi: 10.23919/DATE58400.2024.10546707]
    [59] Rostami M, Chilese M, Zeitouni S, Kande R, Rajendran J, Sadeghi AR. Beyond random inputs: A novel ML-based hardware fuzzing. In: Proc. of the 2024 Design, Automation & Test in Europe Conf. & Exhibition. Valencia: IEEE, 2024. 1–6. [doi: 10.23919/DATE58400.2024.10546625]
    [60] Katz Y, Rimon M, Ziv A, Shaked G. Learning microarchitectural behaviors to improve stimuli generation quality. In: Proc. of the 48th Design Automation Conf. San Diego: ACM, 2011. 848–853. [doi: 10.1145/2024724.2024914]
    [61] Wen C, Wang LC, Bhadra J, Abadir MS. Novel test analysis to improve structural coverage—A commercial experiment. In: Proc. of the 2013 Int’l Symp. on VLSI Design, Automation, and Test. Hsinchu: IEEE, 2013. 1–4. [doi: 10.1109/VLDI-DAT.2013.6533851]
    [62] Chen W, Wang LC, Bhadra J, Abadir M. Simulation knowledge extraction and reuse in constrained random processor verification. In: Proc. of the 50th Annual Design Automation Conf. Austin: ACM, 2013. 120. [doi: 10.1145/2463209.2488881]
    [63] Hsieh KK, Siatkowski S, Wang LC, Chen W, Bhadra J. Feature extraction from design documents to enable rule learning for improving assertion coverage. In: Proc. of the 22nd Asia and South Pacific Design Automation Conf. Chiba: IEEE, 2017. 51–56. [doi: 10.1109/ASPDAC.2017.7858295]
    [64] Miyamoto M, Hamaguchi K. Finding effective simulation patterns for coverage-driven verification using deep learning. In: Proc. of the 20th Workshop on Synthesis And System Integration of Mixed Information Technologies. 2016. 335–340.
    [65] Ambalakkat SM, Nelson E. Simulation runtime optimization of constrained random verification using machine learning algorithms. 2017. https://dvcon-proceedings.org/wp-content/uploads/simulation-runtime-optimization-of-constrained-random-verification-using-machine-learning-algorithms.pdf
    [66] Wang CA, Tseng CH, Tsai CC, Lee TY, Chen YH, Yeh CH, Yeh CS, Lai CT. Two stage framework for corner case stimuli generation using Transformer and reinforcement learning. 2022. https://dvcon-proceedings.org/wp-content/uploads/Two-stage-framework-for-corner-case-stimuli-generation-Using-Transformer-and-Reinforcement-Learning-1.pdf
    [67] Yan M, Chen JJ, Mao HY, Jiang JJ, Hao JY, Li XJ, Tian Z, Chen ZC, Li D, Xian ZK, Guo YW, Liu WL, Wang B, Sun YF, Cui YS. Achieving last-mile functional coverage in testing chip design software implementations. In: Proc. of the 45th IEEE/ACM Int’l Conf. on Software Engineering: Software Engineering in Practice. Melbourne: IEEE, 2023. 343–354. [doi: 10.1109/ICSE-SEIP58684.2023.00037]
    [68] Shyam S, Bertacco V. Distance-guided hybrid verification with GUIDO. In: Proc. of the 2006 Design Automation & Test in Europe Conf. Munich: IEEE, 2006. 1–6. [doi: 10.1109/DATE.2006.244050]
    [69] Chen MS, Mishra P. Functional test generation using efficient property clustering and learning techniques. IEEE Trans. on Computer-aided Design of Integrated Circuits and Systems, 2010, 29(3): 396–404.
    [70] Vasudevan S, Sheridan D, Patel S, Tcheng D, Tuohy B, Johnson D. GoldMine: Automatic assertion generation using data mining and static analysis. In: Proc. of the 2010 Design, Automation & Test in Europe Conf. & Exhibition. Dresden: IEEE, 2010. 626–629. [doi: 10.1109/DATE.2010.5457129]
    [71] Liu LY, Lin CH, Vasudevan S. Word level feature discovery to enhance quality of assertion mining. In: Proc. of the 2012 IEEE/ACM Int’l Conf. on Computer-aided Design. San Jose: ACM, 2012. 210–217. [doi: 10.1145/2429384.2429424]
    [72] Sheridan D, Liu LY, Kim H, Vasudevan S. A coverage guided mining approach for automatic generation of succinct assertions. In: Proc. of the 27th Int’l Conf. on VLSI Design and the 13th Int’l Conf. on Embedded Systems. Mumbai: IEEE, 2014. 68–73. [doi: 10.1109/VLSID.2014.19]
    [73] Hanafy M, Said H, Wahba AM. Complete properties extraction from simulation traces for assertions auto-generation. In: Proc. of the 24th IEEE North Atlantic Test Workshop. Johnson City: IEEE, 2015. 1–6. [doi: 10.1109/NATW.2015.8]
    [74] Soeken M, Harris CB, Abdessaied N, Harris IG, Drechsler R. Automating the translation of assertions using natural language processing techniques. In: Proc. of the 2014 Forum on Specification and Design Languages. Munich: IEEE, 2014. 1–8. [doi: 10.1109/FDL.2014.7119356]
    [75] Harris CB, Harris IG. GLAsT: Learning formal grammars to translate natural language specifications into hardware assertions. In: Proc. of the 2016 Design, Automation & Test in Europe Conf. & Exhibition. Dresden: IEEE, 2016. 966–971.
    [76] Gulliya N, Bora A, Chaudhary N, Kaur A. Using machine learning in register automation and verification. 2019. https://dvcon-proceedings.org/wp-content/uploads/using-machine-learning-in-register-automation-and-verification.pdf
    [77] Parthasarathy G, Nanda S, Choudhary P, Patil P. SpecToSVA: Circuit specification document to SystemVerilog assertion translation. 2021. https://document-intelligence.github.io/DI-2021/files/di-2021_final_19.pdf
    [78] Aditi F, Hsiao MS. Hybrid rule-based and machine learning system for assertion generation from natural language specifications. In: Proc. of the 31st IEEE Asian Test Symp. Taichung: IEEE, 2022. 126–131. [doi: 10.1109/ATS56056.2022.00034]
    [79] Vasudevan S, Jiang WJ, Bieber D, Singh R, Shojaei H, Ho R, Sutton C. Learning semantic representations to verify hardware designs. In: Proc. of the 35th Int’l Conf. on Neural Information Processing Systems. Curran Associates Inc., 2021. 1799.
    [80] Liu MJ, Ene TD, Kirby R, et al. ChipNeMo: Domain-adapted LLMs for chip design. arXiv:2311.00176, 2024.
    [81] Fu WM, Li SJ, Zhao YF, Ma HC, Dutta R, Zhang X, Yang KC, Jin YE, Guo XL. Hardware Phi-1.5B: A large language model encodes hardware domain specific knowledge. In: Proc. of the 29th Asia and South Pacific Design Automation Conf. Incheon: IEEE, 2024. 349–354. [doi: 10.1109/ASP-DAC58780.2024.10473927]
    [82] Orenes-Vera M, Martonosi M, Wentzlaff D. Using LLMs to facilitate formal verification of RTL. arXiv:2309.09437, 2023.
    [83] Sun CY, Hahn C, Trippel C. Towards improving verification productivity with circuit-aware translation of natural language to SystemVerilog assertions. 2023. https://openreview.net/pdf?id=FKH8qCuM44
    [84] Kande R, Pearce H, Tan B, Dolan-Gavitt B, Thakur S, Karri R, Rajendran J. LLM-assisted generation of hardware assertions. arXiv:2306.14027v1, 2023.
    [85] Fang WJ, Li MM, Li M, Yan ZY, Liu S, Xie ZY, Zhang HC. AssertLLM: Generating and evaluating hardware verification assertions from design specifications via multi-LLMs. arXiv:2402.00386v3, 2024.
    [86] Paria S, Dasgupta A, Bhunia S. DIVAS: An LLM-based end-to-end framework for SoC security analysis and policy-based protection. arXiv:2308.06932, 2023.
    [87] Tsai Y, Liu MJ, Ren HX. RTLFixer: Automatically fixing RTL syntax errors with large language model. In: Proc. of the 61st ACM/IEEE Design Automation Conf. San Francisco: ACM, 2024. 53. [doi: 10.1145/3649329.3657353]
    [88] Xu K, Sun JL, Hu YC, Fang XW, Shan WW, Wang X, Jiang Z. MEIC: Re-thinking RTL debug automation using LLMs. arXiv:2405.06840, 2024.
    [89] Ma RY, Yang YX, Liu ZQ, Zhang JX, Li M, Huang JH, Luo GJ. VerilogReader: LLM-aided hardware test generation. In: Proc. of the 2024 IEEE LLM Aided Design Workshop. San Jose: IEEE, 2024. 1–5. [doi: 10.1109/LAD62341.2024.10691801]
    [90] Saha D, Tarek S, Yahyaei K, Saha SK, Zhou JB, Tehranipoor M, Farahmandi F. LLM for SoC security: A paradigm shift. IEEE Access, 2024, 12: 155498–155521.
    [91] Meng XY, Srivastava A, Arunachalam A, Ray A, Silva PH, Psiakis R, Makris Y, Basu K. Unlocking hardware security assurance: The potential of LLMs. arXiv:2308.11042, 2023.
    [92] Fu WM, Yang KC, Dutta RG, Guo XL, Qu G. LLM4SecHW: Leveraging domain-specific large language model for hardware debugging. In: Proc. of the 2023 Asian Hardware Oriented Security and Trust Symp. Tianjin: IEEE, 2023. 1–6. [doi: 10.1109/AsianHOST59942.2023.10409307]
    [93] Ahmad B, Thakur S, Tan B, Karri R, Pearce H. Fixing hardware security bugs with large language models. arXiv:2302.01215, 2023.
    [94] Ahmad H, Huang Y, Weimer W. CirFix: Automatically repairing defects in hardware design code. In: Proc. of the 27th ACM Int’l Conf. on Architectural Support for Programming Languages and Operating Systems. Lausanne: ACM, 2022. 990–1003. [doi: 10.1145/3503222.3507763]
    [95] Tarek S, Saha D, Saha SK, Tehranipoor M, Farahmandi F. SoCureLLM: An LLM-driven approach for large-scale system-on-chip security verification and policy generation. 2024. https://eprint.iacr.org/2024/983.pdf
    [96] Saha D, Yahyaei K, Kumar Saha S, Tehranipoor M, Farahmandi F. Empowering hardware security with LLM: The development of a vulnerable hardware database. In: Proc. of the 2024 IEEE Int’l Symp. on Hardware Oriented Security and Trust. Tysons Corner: IEEE, 2024. 233–243. [doi: 10.1109/HOST55342.2024.10545393]
    [97] RISCV-software-src/RISCV-ISA-sim. RISC-V Software. 2024. https://github.com/riscv-software-src/riscv-isa-sim
    [98] Herdt V, Große D, Jentzsch E, Drechsler R. Efficient cross-level testing for processor verification: A RISC-V case-study. In: Proc. of the 2020 Forum for Specification and Design Languages. Kiel: IEEE, 2020. 1–7. [doi: 10.1109/FDL50818.2020.9232941]
    [99] Bruns N, Herdt V, Jentzsch E, Drechsler R. Cross-level processor verification via endless randomized instruction stream generation with coverage-guided aging. In: Proc. of the 2022 Design, Automation & Test in Europe Conf. & Exhibition. Antwerp: IEEE, 2022. 1123–1126. [doi: 10.23919/DATE54114.2022.9774771]
    [100] Liu J. Metamorphic testing and its application on hardware fault-tolerance [Ph.D. Thesis]. Madison: University of Wisconsin, 2011.
    [101] Hassan M, Große D, Drechsler R. System-level verification of linear and non-linear behaviors of RF amplifiers using metamorphic relations. In: Proc. of the 26th Asia and South Pacific Design Automation Conf. Tokyo: ACM, 2021. 761–766.
    [102] Riese F, Herdt V, Große D, Drechsler R. Metamorphic testing for processor verification: A RISC-V case study at the instruction level. In: Proc. of the 29th IFIP/IEEE Int’l Conf. on Very Large Scale Integration. Singapore: IEEE, 2021. 1–6. [doi: 10.1109/VLSI-SoC53125.2021.9606997]
    [103] Hazott C, Stögmüller F, Große D. Verifying embedded graphics libraries leveraging virtual prototypes and metamorphic testing. In: Proc. of the 29th Asia and South Pacific Design Automation Conf. Incheon: IEEE, 2024. 275–281. [doi: 10.1109/ASP-DAC58780.2024.10473799]
    [104] Guzey O, Wang LC, Levitt JR, Foster H. Increasing the efficiency of simulation-based functional verification through unsupervised support vector analysis. IEEE Trans. on Computer-aided Design of Integrated Circuits and Systems, 2010, 29(1): 138–148.
    [105] Chang PH, Drmanac D, Wang LC. Online selection of effective functional test programs based on novelty detection. In: Proc. of the 2010 IEEE/ACM Int’l Conf. on Computer-aided Design. San Jose: IEEE, 2010. 762–769. [doi: 10.1109/ICCAD.2010.5653868]
    [106] Wang SP, Huang K. Improving the efficiency of functional verification based on test prioritization. Microprocessors and Microsystems, 2016, 41: 1–11.
    [107] Choi J, Noh S, Hong S, Jang H, Yim S, Choi SB. Finding a needle in a haystack: A novel log analysis method with test clustering in distributed systems. 2022. https://dvcon-proceedings.org/wp-content/uploads/Finding-a-Needle-in-a-Haystack-A-Novel-Log-Analysis-Method-with-Test-Clustering-in-Distributed-System-1.pdf
    [108] Liang RJ, Pinckney N, Chai YJ, Ren HX, Khailany B. Late breaking results: Test selection for RTL coverage by unsupervised learning from fast functional simulation. In: Proc. of the 60th ACM/IEEE Design Automation Conf. San Francisco: IEEE, 2023. 1–2. [doi: 10.1109/DAC56929.2023.10247936]
    [109] Blackmore T, Hodson R, Schaal S. Novelty-driven verification: Using machine learning to identify novel stimuli and close coverage. 2021. https://dvcon-proceedings.org/document/novelty-driven-verification-using-machine-learning-to-identify-novel-stimuli-and-close-coverage/
    [110] Masamba N, Eder K, Blackmore T. Hybrid intelligent testing in simulation-based verification. In: Proc. of the 2022 IEEE Int’l Conf. on Artificial Intelligence Testing. Newark: IEEE, 2022. 26–33. [doi: 10.1109/AITest55621.2022.00013]
    [111] Parthasarathy G, Rushdi A, Choudhary P, Nanda S, Evans M, Gunasekara H, Rajakumar S. RTL regression test selection using machine learning. In: Proc. of the 27th Asia and South Pacific Design Automation Conf. Taipei: IEEE, 2022. 281–287. [doi: 10.1109/ASP-DAC52403.2022.9712550]
    [112] Zheng X, Eder K, Blackmore T. Using neural networks for novelty-based test selection to accelerate functional coverage closure. In: Proc. of the 2023 IEEE Int’l Conf. on Artificial Intelligence Testing. Athens: IEEE, 2023. 114–121. [doi: 10.1109/AITest58265.2023.00026]
    [113] Singh K, Gupta R, Gupta V, Fayyazi A, Pedram M, Nazarian S. A hybrid framework for functional verification using reinforcement learning and deep learning. In: Proc. of the 2019 on Great Lakes Symp. on VLSI. Tysons Corner: Association for Computing Machinery, 2019. 367–370. [doi: 10.1145/3299874.3318039]
    引证文献
    网友评论
    网友评论
    分享到微博
    发 布
引用本文

李晓鹏,闫明,樊兴宇,唐振韬,开昰雄,郝建业,袁明轩,陈俊洁.智能化芯片设计程序测试研究综述.软件学报,2025,36(6):2453-2476

复制
分享
文章指标
  • 点击次数:958
  • 下载次数: 664
  • HTML阅读次数: 219
  • 引用次数: 0
历史
  • 收稿日期:2024-08-26
  • 最后修改日期:2024-10-14
  • 在线发布日期: 2024-12-10
文章二维码
您是第20251802位访问者
版权所有:中国科学院软件研究所 京ICP备05046678号-3
地址:北京市海淀区中关村南四街4号,邮政编码:100190
电话:010-62562563 传真:010-62562533 Email:jos@iscas.ac.cn
技术支持:北京勤云科技发展有限公司

京公网安备 11040202500063号